-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: ghdl Binary: ghdl, ghdl-common, ghdl-mcode, ghdl-gcc, ghdl-llvm, ghdl-tools, libghdl-3-0-0, libghdl-dev Architecture: any Version: 3.0.0+dfsg-1 Maintainer: Debian Electronics Team Uploaders: Andreas Bombe Homepage: https://github.com/ghdl/ghdl Standards-Version: 4.6.2 Vcs-Browser: https://salsa.debian.org/electronics-team/ghdl/ghdl Vcs-Git: https://salsa.debian.org/electronics-team/ghdl/ghdl.git Testsuite: autopkgtest Testsuite-Triggers: gcc, libc6-dev Build-Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-12, gcc-12, g++-12, gcc-12-source , libisl-dev (>= 0.14) , libmpc-dev (>= 1.0) , libmpfr-dev (>= 3.0.0-9~) , libgmp-dev (>= 2:5.0.1~) , texinfo , llvm-dev (<< 1:17~) , clang (>= 1:3.5) , zlib1g-dev Package-List: ghdl deb electronics optional arch=any ghdl-common deb electronics optional arch=any ghdl-gcc deb electronics optional arch=any profile=!pkg.ghdl.nogcc ghdl-llvm deb electronics optional arch=any profile=!pkg.ghdl.nollvm ghdl-mcode deb electronics optional arch=any-i386,any-amd64 profile=!pkg.ghdl.nomcode ghdl-tools deb electronics optional arch=any libghdl-3-0-0 deb electronics optional arch=any libghdl-dev deb electronics optional arch=any Checksums-Sha1: 320b0ca55411393bfde0e499adf4acc2b4d49900 4457828 ghdl_3.0.0+dfsg.orig.tar.xz fab9c1ed42c36cd2b385427dc51a422d6866d9ef 26304 ghdl_3.0.0+dfsg-1.debian.tar.xz Checksums-Sha256: e50a5e3c10779b906bb9997b4c525d5dc6cec2cc628df6123a298eecf097cf81 4457828 ghdl_3.0.0+dfsg.orig.tar.xz d82453770788b144b3be86a12ea9f2d05b0dd8b8cc90f707be49e70b3df45b38 26304 ghdl_3.0.0+dfsg-1.debian.tar.xz Files: 47627d20f30b0b1c307cfef0aaaf4b9b 4457828 ghdl_3.0.0+dfsg.orig.tar.xz 89feabd5545d2e1f7bc91f070780bade 26304 ghdl_3.0.0+dfsg-1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQEzBAEBCgAdFiEEtjuqOJSXmNjSiX3Tfr04e7CZCBEFAmURmtoACgkQfr04e7CZ CBFyZQgAhFfMei57tCvHj9V4NUTW4DShWaumhdSZ6ZqlBRhH+3SuXsReIDz7KRyF czA7gCcbUbD8pNUH2LfhsDvs539H2ZnoC2Cd+r8TyHbARD/XZIayeeCmvuelRquw IdeTQo603j3h3cue09xMtgnBljhIg0tiC8Njh7W4XPzNBHS8CkcUVYH66umt3GBM Io491/EkH3bMLukaXEpGB1QFQYNl947ELpCPuppaKC8mUBNTM4puTlZ/uagQpYne 9DSMWCeO+UFWU0AOCW+9Movtyy2aFXGYcf7j8Gd5MH2eRMeZSiAS/6R+ZNtJCH+b CRH+toEVdwMe+S/Fb1x/NLJ8WVXUXA== =k8hA -----END PGP SIGNATURE-----